Here is a list of all class members with links to the classes they belong to:
- c -
- calcLongestPath()
: PlacementTimingInfo::TimingGraph< nodeType >::TimingNode
- calculateNetNumDistributionOfPUs()
: PlacementInfo
- canAddMore()
: PlacementInfo::PlacementBinInfo
, PlacementInfo::PlacementHybridBinInfo
, PlacementInfo::PlacementSiteBinInfo
- candidateFactor
: CLBLegalizer
, MacroLegalizer
- canDirectConnectInSlot()
: ParallelCLBPacker::PackingCLBSite::SiteBELMapping
- capacity
: PlacementInfo::PlacementBinInfo
, PlacementInfo::PlacementHybridBinInfo
, PlacementInfo::PlacementSiteBinInfo
- capacityShrinkRatio
: GeneralSpreader
, GeneralSpreader::SpreadRegion
, GeneralSpreader::SpreadRegion::SubBox
- Carry
: InitialPacker::SiteBELMapping
, ParallelCLBPacker::PackingCLBSite::SiteBELMapping
- carryCell
: ParallelCLBPacker::PackingCLBSite
- CARRYCell2Column
: MacroLegalizer
- CARRYChain
: ParallelCLBPacker::PackingCLBSite
- CARRYChainSiteOffset
: ParallelCLBPacker::PackingCLBSite
- CARRYcnt
: PlacementInfo::PlacementUnit
- CARRYColumn2PUs
: MacroLegalizer
- CARRYColumn2Sites
: MacroLegalizer
- CARRYColumnNum
: MacroLegalizer
- CARRYColumnUntilization
: MacroLegalizer
- CARRYColumnXs
: MacroLegalizer
- CARRYCoordinateDumpCnt
: GlobalPlacer
- CARRYMacroLegalizer
: GlobalPlacer
- CARRYPUs
: MacroLegalizer
- CARRYRowNum
: MacroLegalizer
- CE
: DesignInfo::ControlSetInfo
, InitialPacker::PackedControlSet
, ParallelCLBPacker::PackedControlSet
- cell
: IncrementalBELPacker::_CellWithScore
, PlacementInfo::PlacementMacro::_fixedPlacementInfo_inMacro
, PlacementInfo::PlacementUnpackedCell
, VivadoGraphUtil.VivadoPin
, WirelengthOptimizer::_CellWithScore
- cell2IdInMacro
: PlacementInfo::PlacementMacro
- CellBinInfo
: PlacementInfo
- cellId2CellBinInfo
: PlacementInfo
- cellId2InfationRatio
: PlacementInfo::CompatiblePlacementTable
- cellId2location
: PlacementInfo
- cellId2Occupation
: PlacementInfo::CompatiblePlacementTable
- cellId2PackingSite
: ParallelCLBPacker
- cellId2Period
: PlacementTimingInfo
- cellId2PlacementUnit
: IncrementalBELPacker
, InitialPacker
, ParallelCLBPacker
, PlacementInfo
- cellId2PlacementUnitVec
: IncrementalBELPacker
, InitialPacker
, PlacementInfo
- cellId2SharedCellBELTypeID
: PlacementInfo::CompatiblePlacementTable
- cellIds
: GeneralSpreader::SpreadRegion::SubBox
- cellInMacros
: IncrementalBELPacker
, InitialPacker
, ParallelCLBPacker
, PlacementInfo
- cellLevelMatching
: MacroLegalizer
- cellLoc
: CLBLegalizer
, MacroLegalizer
- cells
: DesignInfo
, PlacementInfo::PlacementBinInfo
, PlacementInfo::PlacementHybridBinInfo
- cells_Type
: PlacementInfo::PlacementMacro
- cellSet
: PlacementInfo::PlacementMacro
- cellsInMacro
: PlacementInfo::PlacementMacro
- cellsInRegion
: GeneralSpreader::SpreadRegion
- cellsInRegionVec
: GeneralSpreader::SpreadRegion
- cellType
: DesignInfo::DesignCell
- cellType2fixedAmoFileName
: PlacementInfo
- cellType2sharedBELTypeIDs
: PlacementInfo::CompatiblePlacementTable
- cellType2sharedBELTypeOccupation
: PlacementInfo::CompatiblePlacementTable
- cellType2sharedBELTypes
: PlacementInfo::CompatiblePlacementTable
- cellType2sharedCellTypeFileName
: PlacementInfo
- CellType_AND2B1L
: DesignInfo
- CellType_BITSLICE_CONTROL
: DesignInfo
- CellType_BSCANE2
: DesignInfo
- CellType_BUFG_GT
: DesignInfo
- CellType_BUFG_GT_SYNC
: DesignInfo
- CellType_BUFGCE
: DesignInfo
- CellType_BUFGCE_DIV
: DesignInfo
- CellType_BUFGCTRL
: DesignInfo
- CellType_CARRY8
: DesignInfo
- CellType_DSP48E2
: DesignInfo
- CellType_FDCE
: DesignInfo
- CellType_FDPE
: DesignInfo
- CellType_FDRE
: DesignInfo
- CellType_FDSE
: DesignInfo
- CellType_FIFO18E2
: DesignInfo
- CellType_FIFO36E2
: DesignInfo
- CellType_GTHE3_CHANNEL
: DesignInfo
- CellType_GTHE3_COMMON
: DesignInfo
- CellType_HPIO_VREF
: DesignInfo
- CellType_IBUF
: DesignInfo
- CellType_IBUF_ANALOG
: DesignInfo
- CellType_IBUFDS
: DesignInfo
- CellType_IBUFDS_GTE3
: DesignInfo
- CellType_IOBUF
: DesignInfo
- CellType_IOBUFDS
: DesignInfo
- CellType_IOBUFE3
: DesignInfo
- CellType_LDCE
: DesignInfo
- CellType_LUT1
: DesignInfo
- CellType_LUT2
: DesignInfo
- CellType_LUT3
: DesignInfo
- CellType_LUT4
: DesignInfo
- CellType_LUT5
: DesignInfo
- CellType_LUT6
: DesignInfo
- CellType_LUT6_2
: DesignInfo
- CellType_MMCME3_ADV
: DesignInfo
- CellType_MUXF7
: DesignInfo
- CellType_MUXF8
: DesignInfo
- CellType_OBUF
: DesignInfo
- CellType_OBUFDS_DUAL_BUF
: DesignInfo
- CellType_OBUFT
: DesignInfo
- CellType_OSERDESE3
: DesignInfo
- CellType_PCIE_3_1
: DesignInfo
- CellType_PLLE3_ADV
: DesignInfo
- CellType_RAM256X1D
: DesignInfo
- CellType_RAM32M
: DesignInfo
- CellType_RAM32M16
: DesignInfo
- CellType_RAM32X1D
: DesignInfo
- CellType_RAM32X1S
: DesignInfo
- CellType_RAM64M
: DesignInfo
- CellType_RAM64M8
: DesignInfo
- CellType_RAM64X1D
: DesignInfo
- CellType_RAM64X1S
: DesignInfo
- CellType_RAMB18E2
: DesignInfo
- CellType_RAMB36E2
: DesignInfo
- CellType_RIU_OR
: DesignInfo
- CellType_RXTX_BITSLICE
: DesignInfo
- CellType_SRL16E
: DesignInfo
- CellType_SRLC32E
: DesignInfo
- CellType_SYSMONE1
: DesignInfo
- CellType_TX_BITSLICE_TRI
: DesignInfo
- CellWithScore
: IncrementalBELPacker
, WirelengthOptimizer
- centerX
: exportDeviceLocation.siteInfo
- centerY
: exportDeviceLocation.siteInfo
- CGSolver
: QPSolverWrapper
- checkAddPU()
: ParallelCLBPacker::PackingCLBSite::PackingCLBCluster
- checkCellCorrectness()
: ParallelCLBPacker::PackingCLBSite::PackingCLBCluster
- checkClockColumnLegalization()
: PlacementInfo
- checkClockUtilization()
: PlacementInfo
- checkCompatibleFFs()
: InitialPacker
- checkContainFixedPins()
: DesignInfo::DesignNet
- checkDirectLUTFFConnect()
: ParallelCLBPacker::PackingCLBSite
- checkDirectLUTFFConnect_slack()
: ParallelCLBPacker::PackingCLBSite
- checkHasBRAM()
: PlacementInfo::PlacementUnit
- checkHasCARRY()
: PlacementInfo::PlacementUnit
- checkHasDSP()
: PlacementInfo::PlacementUnit
- checkHasDSPReg()
: DesignInfo::DesignCell
- checkHasFF()
: PlacementInfo::PlacementUnit
- checkHasLUT()
: PlacementInfo::PlacementUnit
- checkHasLUTRAM()
: PlacementInfo::PlacementUnit
- checkHasMUX()
: PlacementInfo::PlacementUnit
- checkIsCarrySite()
: ParallelCLBPacker::PackingCLBSite
- checkIsGlobalClock()
: DesignInfo::DesignNet
- checkIsLUTRAMSite()
: ParallelCLBPacker::PackingCLBSite
- checkIsMuxSite()
: ParallelCLBPacker::PackingCLBSite
- checkIsNonCLBSite()
: ParallelCLBPacker::PackingCLBSite
- checkIsPowerNet()
: DesignInfo::DesignNet
- checkIsPrePackedSite()
: ParallelCLBPacker::PackingCLBSite
- checkIsRegister()
: PlacementTimingInfo::TimingGraph< nodeType >::TimingNode
- checkNumMuxCompatibleInFFSet()
: ParallelCLBPacker::PackingCLBSite::PackingCLBCluster
- checkPackedPUsAndUnpackedPUs()
: ParallelCLBPacker
- checkPinType()
: DesignInfo::DesignPin
- childrenBELs
: DeviceInfo::DeviceSite
- childrenSites
: DeviceInfo::DeviceSite
, DeviceInfo::DeviceTile
- CLBLegalizer()
: CLBLegalizer
- CLBSite
: ParallelCLBPacker::PackingCLBSite
- clearNetPinEnhanceRate()
: WirelengthOptimizer
- clearSomeAttributesCannotRecord()
: AMFPlacer
- CLK
: DesignInfo::ControlSetInfo
, InitialPacker::PackedControlSet
, ParallelCLBPacker::PackedControlSet
- CLKSRCEFFType2ControlSetInfoId
: DesignInfo
- clock2Cells
: DesignInfo
- clockBasedGraphPartitioner
: ClusterPlacer
- clockBasedPartitioning()
: ClusterPlacer
- clockCol2ClockNets
: PlacementInfo
- ClockColumn()
: DeviceInfo::ClockColumn
- clockColumns
: DeviceInfo
, DeviceInfo::ClockRegion
- clockColumns2PackingSites
: ParallelCLBPacker
- clockHalfColumn
: DeviceInfo::DeviceSite
- clockLegalizationRisky
: PlacementInfo
- clockLimit
: DeviceInfo::ClockColumn
- clockNet
: PlacementInfo::_ClockNetCoverage
- clockNet2Period
: PlacementTimingInfo
- ClockNetCoverage
: PlacementInfo
- clockNetCoverages
: PlacementInfo
- clockNetId2CellIds
: DeviceInfo::ClockColumn
- clockNetId2Cnt
: DeviceInfo::ClockColumn
- clockNetId2Sites
: DeviceInfo::ClockColumn
- clockNetPtrs
: DesignInfo::DesignCell
- clockNets
: PlacementInfo
, PlacementInfo::PlacementUnit
- clockPeriod
: PlacementTimingInfo
, PlacementTimingInfo::TimingGraph< nodeType >
, PlacementTimingInfo::TimingGraph< nodeType >::TimingNode
- ClockRegion()
: DeviceInfo::ClockRegion
- clockRegion
: DeviceInfo::DeviceSite
- clockRegionAware
: MacroLegalizer
, ParallelCLBPacker
, ParallelCLBPacker::PackingCLBSite
- clockRegionCasLegalization
: MacroLegalizer
- clockRegionclusters
: PlacementTimingOptimizer
- clockRegionClusterTooLarge
: PlacementTimingOptimizer
- clockRegionHeightOfDSE_BRAM
: MacroLegalizer
- clockRegionName
: exportDeviceLocation.siteInfo
- clockRegionNumX
: DeviceInfo
- clockRegionNumY
: DeviceInfo
- clockRegions
: DeviceInfo
- clockRegionUtilization
: PlacementInfo
- clockRegionX
: DeviceInfo::DeviceSite
, PlacementInfo::PlacementBinInfo
- clockRegionXBounds
: DeviceInfo
- clockRegionXNum
: ClusterPlacer
- clockRegionY
: DeviceInfo::DeviceSite
- clockRegionYBounds
: DeviceInfo
- clockRegionYNum
: ClusterPlacer
- clocks
: DesignInfo
- clockSet
: DesignInfo
- cluster2FixedUnitMat
: ClusterPlacer
, SAPlacer
- cluster2FP_XY
: ClusterPlacer
- cluster2XY
: ClusterPlacer
- clusterAdjMat
: ClusterPlacer
, SAPlacer
- clusterBRAMCellWeights
: ClusterPlacer
- clusterCLBCellWeights
: ClusterPlacer
- clusterDSPCellWeights
: ClusterPlacer
- clusterHash()
: ParallelCLBPacker::PackingCLBSite::PackingCLBCluster
- clusterHashWithAdditionalPU()
: ParallelCLBPacker::PackingCLBSite::PackingCLBCluster
- clusterId
: PlacementTimingInfo::TimingGraph< nodeType >::TimingNode
, VivadoGraphUtil.VivadoPatternCluster
- clusterLongPathInOneClockRegion()
: PlacementTimingOptimizer
- ClusterNet()
: PlacementInfo::ClusterNet
- clusterNets
: ClusterPlacer
- clusterNum
: PlacementInfo
- ClusterPlacement()
: ClusterPlacer
- clusterPlacement()
: GlobalPlacer
- clusterPlacementUnits()
: ClusterPlacer
- ClusterPlacer()
: ClusterPlacer
- clusterPlacer
: GlobalPlacer
- ClusterPUIdSets
: GraphPartitioner< NodeList, NetList >
- clusters
: ClusterPlacer
, GraphPartitioner< NodeList, NetList >
- clustersLock
: GraphPartitioner< NodeList, NetList >
- ClusterUnit()
: PlacementInfo::ClusterUnit
- clusterUnits
: ClusterPlacer
, PlacementInfo::ClusterNet
- clusterWeights
: SAPlacer
- cntLock
: GraphPartitioner< NodeList, NetList >
- colHeight
: DeviceInfo::ClockRegion
- column
: PlacementInfo::PlacementBinInfo
, PlacementInfo::PlacementHybridBinInfo
, PlacementInfo::PlacementSiteBinInfo
- columnNumY
: DeviceInfo::ClockRegion
- colWidth
: DeviceInfo::ClockRegion
- compatibleInOneHalfCLB()
: ParallelCLBPacker::PackingCLBSite
, ParallelCLBPacker::PackingCLBSite::PackingCLBCluster
- compatiblePlacementTable
: CLBLegalizer
, IncrementalBELPacker
, InitialPacker
, MacroLegalizer
, PlacementInfo
- CompatiblePlacementTable()
: PlacementInfo::CompatiblePlacementTable
- compatiblePlacementTable
: PlacementInfo::PlacementBinInfo
- compatibleWith()
: DesignInfo::ControlSetInfo
, ParallelCLBPacker::PackedControlSet
- conductStaticTimingAnalysis()
: PlacementTimingOptimizer
- conflictLUTs
: ParallelCLBPacker::PackingCLBSite
- conflictLUTsContain()
: ParallelCLBPacker::PackingCLBSite
- connectedPinsWithSmallNet
: DesignInfo
- connectedSubgraphAdjList
: MinCostBipartiteMatcher
- connectionToFixedFactor
: ClusterPlacer
, SAPlacer
- connectToNetName()
: DesignInfo::DesignPin
- connectToNetVariable()
: DesignInfo::DesignPin
- connectToPinName()
: DesignInfo::DesignNet
- connectToPinVariable()
: DesignInfo::DesignNet
- containFF()
: ParallelCLBPacker::PackingCLBSite::PackingCLBCluster
- containFixedPins
: DesignInfo::DesignNet
- contains()
: GeneralSpreader::SpreadRegion
, ParallelCLBPacker::PackingCLBSite::PackingCLBCluster
, PlacementInfo::PlacementBinInfo
, PlacementInfo::PlacementHybridBinInfo
- ControlSetInfo()
: DesignInfo::ControlSetInfo
- controlSetInfo
: DesignInfo::DesignCell
- controlSets
: DesignInfo
- coord2ClockRegion
: DeviceInfo
- coreNodes
: VivadoGraphUtil.VivadoCoreCluster
- coreType
: VivadoGraphUtil.VivadoCoreCluster
- correspondingSites
: PlacementInfo::PlacementBinInfo
, PlacementInfo::PlacementHybridBinInfo
, PlacementInfo::PlacementSiteBinInfo
, PlacementInfo::PlacementSiteTypeInfo
- countNoOverflow()
: PlacementInfo::PlacementBinInfo
- countOverflow()
: PlacementInfo::PlacementBinInfo
- coveredBinSet
: GeneralSpreader
- creaeClusterNets()
: ClusterPlacer
- createBipartiteGraph()
: CLBLegalizer
, MacroLegalizer
- createClockBasedClusterUnits()
: ClusterPlacer
- createGridBins()
: PlacementInfo
- createLongPathClusterUnits()
: ClusterPlacer
- createShareMemory()
: ExternalProcessFunc
, ProcessFuncInterface
- createSinglePUClusterUnits()
: ClusterPlacer
- createSiteBinGrid()
: PlacementInfo
- createUserDefinedClusterBasedClusterUnits()
: ClusterPlacer
- CSId
: InitialPacker::PackedControlSet
, ParallelCLBPacker::PackedControlSet
- curD
: ParallelCLBPacker
, ParallelCLBPacker::PackingCLBSite
- curDirection
: GeneralSpreader::SpreadRegion
- curDirectionIndex
: GeneralSpreader::SpreadRegion
- currentIteration
: GeneralSpreader