AMF-Placer
2.0
An Open-Source Timing-driven Analytical Mixed-size FPGA Placer
- c -
CellType_AND2B1L :
DesignInfo
CellType_BITSLICE_CONTROL :
DesignInfo
CellType_BSCANE2 :
DesignInfo
CellType_BUFG_GT :
DesignInfo
CellType_BUFG_GT_SYNC :
DesignInfo
CellType_BUFGCE :
DesignInfo
CellType_BUFGCE_DIV :
DesignInfo
CellType_BUFGCTRL :
DesignInfo
CellType_CARRY8 :
DesignInfo
CellType_DSP48E2 :
DesignInfo
CellType_FDCE :
DesignInfo
CellType_FDPE :
DesignInfo
CellType_FDRE :
DesignInfo
CellType_FDSE :
DesignInfo
CellType_FIFO18E2 :
DesignInfo
CellType_FIFO36E2 :
DesignInfo
CellType_GTHE3_CHANNEL :
DesignInfo
CellType_GTHE3_COMMON :
DesignInfo
CellType_HPIO_VREF :
DesignInfo
CellType_IBUF :
DesignInfo
CellType_IBUF_ANALOG :
DesignInfo
CellType_IBUFDS :
DesignInfo
CellType_IBUFDS_GTE3 :
DesignInfo
CellType_IOBUF :
DesignInfo
CellType_IOBUFDS :
DesignInfo
CellType_IOBUFE3 :
DesignInfo
CellType_LDCE :
DesignInfo
CellType_LUT1 :
DesignInfo
CellType_LUT2 :
DesignInfo
CellType_LUT3 :
DesignInfo
CellType_LUT4 :
DesignInfo
CellType_LUT5 :
DesignInfo
CellType_LUT6 :
DesignInfo
CellType_LUT6_2 :
DesignInfo
CellType_MMCME3_ADV :
DesignInfo
CellType_MUXF7 :
DesignInfo
CellType_MUXF8 :
DesignInfo
CellType_OBUF :
DesignInfo
CellType_OBUFDS_DUAL_BUF :
DesignInfo
CellType_OBUFT :
DesignInfo
CellType_OSERDESE3 :
DesignInfo
CellType_PCIE_3_1 :
DesignInfo
CellType_PLLE3_ADV :
DesignInfo
CellType_RAM256X1D :
DesignInfo
CellType_RAM32M :
DesignInfo
CellType_RAM32M16 :
DesignInfo
CellType_RAM32X1D :
DesignInfo
CellType_RAM32X1S :
DesignInfo
CellType_RAM64M :
DesignInfo
CellType_RAM64M8 :
DesignInfo
CellType_RAM64X1D :
DesignInfo
CellType_RAM64X1S :
DesignInfo
CellType_RAMB18E2 :
DesignInfo
CellType_RAMB36E2 :
DesignInfo
CellType_RIU_OR :
DesignInfo
CellType_RXTX_BITSLICE :
DesignInfo
CellType_SRL16E :
DesignInfo
CellType_SRLC32E :
DesignInfo
CellType_SYSMONE1 :
DesignInfo
CellType_TX_BITSLICE_TRI :
DesignInfo
- d -
DeviceElementType_BEL :
DeviceInfo
DeviceElementType_CLOCKREGION :
DeviceInfo
DeviceElementType_Device :
DeviceInfo
DeviceElementType_Site :
DeviceInfo
DeviceElementType_Tile :
DeviceInfo
- e -
ElementType_cell :
DesignInfo
ElementType_graph :
DesignInfo
ElementType_net :
DesignInfo
ElementType_pin :
DesignInfo
expandDown :
GeneralSpreader::SpreadRegion
expandLeft :
GeneralSpreader::SpreadRegion
expandRight :
GeneralSpreader::SpreadRegion
expandUp :
GeneralSpreader::SpreadRegion
- p -
PinType_CLK :
DesignInfo
PinType_D :
DesignInfo
PinType_E :
DesignInfo
PinType_LUTInput :
DesignInfo
PinType_LUTOutput :
DesignInfo
PinType_Others :
DesignInfo
PinType_Q :
DesignInfo
PinType_SR :
DesignInfo
PlacementMacroType_BRAM :
PlacementInfo::PlacementMacro
PlacementMacroType_CARRY :
PlacementInfo::PlacementMacro
PlacementMacroType_DSP :
PlacementInfo::PlacementMacro
PlacementMacroType_FFFFPair :
PlacementInfo::PlacementMacro
PlacementMacroType_HALFCLB :
PlacementInfo::PlacementMacro
PlacementMacroType_LCLB :
PlacementInfo::PlacementMacro
PlacementMacroType_LUTFFPair :
PlacementInfo::PlacementMacro
PlacementMacroType_LUTLUTSeires :
PlacementInfo::PlacementMacro
PlacementMacroType_MCLB :
PlacementInfo::PlacementMacro
PlacementMacroType_MUX7 :
PlacementInfo::PlacementMacro
PlacementMacroType_MUX8 :
PlacementInfo::PlacementMacro
PlacementMacroType_MUX9 :
PlacementInfo::PlacementMacro
PlacementUnitType_Macro :
PlacementInfo
PlacementUnitType_UnpackedCell :
PlacementInfo
- s -
s_size :
FILEbuf
Generated by
1.8.18