| addCell(DesignCell *curCell) | DesignInfo | |
| addPinToNet(DesignPin *curPin) | DesignInfo | |
| aliasNet2AliasNetId | DesignInfo | private |
| cells | DesignInfo | private |
| CellType_AND2B1L enum value | DesignInfo | |
| CellType_BITSLICE_CONTROL enum value | DesignInfo | |
| CellType_BSCANE2 enum value | DesignInfo | |
| CellType_BUFG_GT enum value | DesignInfo | |
| CellType_BUFG_GT_SYNC enum value | DesignInfo | |
| CellType_BUFGCE enum value | DesignInfo | |
| CellType_BUFGCE_DIV enum value | DesignInfo | |
| CellType_BUFGCTRL enum value | DesignInfo | |
| CellType_CARRY8 enum value | DesignInfo | |
| CellType_DSP48E2 enum value | DesignInfo | |
| CellType_FDCE enum value | DesignInfo | |
| CellType_FDPE enum value | DesignInfo | |
| CellType_FDRE enum value | DesignInfo | |
| CellType_FDSE enum value | DesignInfo | |
| CellType_FIFO18E2 enum value | DesignInfo | |
| CellType_FIFO36E2 enum value | DesignInfo | |
| CellType_GTHE3_CHANNEL enum value | DesignInfo | |
| CellType_GTHE3_COMMON enum value | DesignInfo | |
| CellType_HPIO_VREF enum value | DesignInfo | |
| CellType_IBUF enum value | DesignInfo | |
| CellType_IBUF_ANALOG enum value | DesignInfo | |
| CellType_IBUFDS enum value | DesignInfo | |
| CellType_IBUFDS_GTE3 enum value | DesignInfo | |
| CellType_IOBUF enum value | DesignInfo | |
| CellType_IOBUFDS enum value | DesignInfo | |
| CellType_IOBUFE3 enum value | DesignInfo | |
| CellType_LDCE enum value | DesignInfo | |
| CellType_LUT1 enum value | DesignInfo | |
| CellType_LUT2 enum value | DesignInfo | |
| CellType_LUT3 enum value | DesignInfo | |
| CellType_LUT4 enum value | DesignInfo | |
| CellType_LUT5 enum value | DesignInfo | |
| CellType_LUT6 enum value | DesignInfo | |
| CellType_LUT6_2 enum value | DesignInfo | |
| CellType_MMCME3_ADV enum value | DesignInfo | |
| CellType_MUXF7 enum value | DesignInfo | |
| CellType_MUXF8 enum value | DesignInfo | |
| CellType_OBUF enum value | DesignInfo | |
| CellType_OBUFDS_DUAL_BUF enum value | DesignInfo | |
| CellType_OBUFT enum value | DesignInfo | |
| CellType_OSERDESE3 enum value | DesignInfo | |
| CellType_PCIE_3_1 enum value | DesignInfo | |
| CellType_PLLE3_ADV enum value | DesignInfo | |
| CellType_RAM256X1D enum value | DesignInfo | |
| CellType_RAM32M enum value | DesignInfo | |
| CellType_RAM32M16 enum value | DesignInfo | |
| CellType_RAM32X1D enum value | DesignInfo | |
| CellType_RAM32X1S enum value | DesignInfo | |
| CellType_RAM64M enum value | DesignInfo | |
| CellType_RAM64M8 enum value | DesignInfo | |
| CellType_RAM64X1D enum value | DesignInfo | |
| CellType_RAM64X1S enum value | DesignInfo | |
| CellType_RAMB18E2 enum value | DesignInfo | |
| CellType_RAMB36E2 enum value | DesignInfo | |
| CellType_RIU_OR enum value | DesignInfo | |
| CellType_RXTX_BITSLICE enum value | DesignInfo | |
| CellType_SRL16E enum value | DesignInfo | |
| CellType_SRLC32E enum value | DesignInfo | |
| CellType_SYSMONE1 enum value | DesignInfo | |
| CellType_TX_BITSLICE_TRI enum value | DesignInfo | |
| CLKSRCEFFType2ControlSetInfoId | DesignInfo | private |
| clock2Cells | DesignInfo | private |
| clocks | DesignInfo | private |
| clockSet | DesignInfo | private |
| connectedPinsWithSmallNet | DesignInfo | private |
| controlSets | DesignInfo | private |
| designArchievedTextFileName | DesignInfo | private |
| DesignCellType enum name | DesignInfo | |
| DesignCellTypeStr | DesignInfo | |
| DesignElementType enum name | DesignInfo | |
| DesignInfo(std::map< std::string, std::string > &JSONCfg, DeviceInfo *deviceInfo) | DesignInfo | |
| DesignPinType enum name | DesignInfo | |
| ElementType_cell enum value | DesignInfo | |
| ElementType_graph enum value | DesignInfo | |
| ElementType_net enum value | DesignInfo | |
| ElementType_pin enum value | DesignInfo | |
| enhanceFFControlSetNets() | DesignInfo | |
| FFId2ControlSetId | DesignInfo | private |
| FFSRCompatible(DesignCellType typeA, DesignCellType typeB) | DesignInfo | inlinestatic |
| fromStringToCellType(std::string &cellName, std::string &typeName) | DesignInfo | |
| getCell(std::string &tmpName) | DesignInfo | inline |
| getCells() | DesignInfo | inline |
| getCellsUnderClock(DesignNet *clock) | DesignInfo | inline |
| getCLKSRCENet(DesignInfo::DesignCell *curFF, DesignNet **CLK, DesignNet **SR, DesignNet **CE) | DesignInfo | inline |
| getCLKSRCENetId(DesignInfo::DesignCell *curFF, int &CLKId, int &SRId, int &CEId) | DesignInfo | inline |
| getClocksInDesign() | DesignInfo | inline |
| getControlSets() | DesignInfo | inline |
| getDeterminedOccupation(int cellId) | DesignInfo | inline |
| getFFControlSetId(DesignCell *curFF) | DesignInfo | inline |
| getFFSRType(DesignCellType typeA) | DesignInfo | inlinestatic |
| getNet(std::string &tmpName) | DesignInfo | inline |
| getNets() | DesignInfo | inline |
| getNumCells() | DesignInfo | inline |
| getNumNets() | DesignInfo | inline |
| getPins() | DesignInfo | inline |
| getPredefinedClusters() | DesignInfo | inline |
| getType2Cells() | DesignInfo | inline |
| isBRAM(DesignCellType cellType) | DesignInfo | inlinestatic |
| isCarry(DesignCellType cellType) | DesignInfo | inlinestatic |
| isClockBuffer(DesignCellType cellType) | DesignInfo | inline |
| isDesignClock(DesignNet *tmpNet) | DesignInfo | inline |
| isDSP(DesignCellType cellType) | DesignInfo | inlinestatic |
| isFF(DesignCellType cellType) | DesignInfo | inlinestatic |
| isIO(DesignCellType cellType) | DesignInfo | inlinestatic |
| isLogicRelated(DesignCellType cellType) | DesignInfo | inline |
| isLUT(DesignCellType cellType) | DesignInfo | inlinestatic |
| isLUTRAM(DesignCellType cellType) | DesignInfo | inlinestatic |
| isMux(DesignCellType cellType) | DesignInfo | inlinestatic |
| isShifter(DesignCellType cellType) | DesignInfo | inline |
| JSONCfg | DesignInfo | private |
| loadClocks(std::string clockFileName) | DesignInfo | |
| loadUserDefinedClusterNets() | DesignInfo | |
| LUTFFDeterminedOccupation | DesignInfo | private |
| name2Cell | DesignInfo | private |
| name2Net | DesignInfo | private |
| netlist | DesignInfo | private |
| pins | DesignInfo | private |
| PinType_CLK enum value | DesignInfo | |
| PinType_D enum value | DesignInfo | |
| PinType_E enum value | DesignInfo | |
| PinType_LUTInput enum value | DesignInfo | |
| PinType_LUTOutput enum value | DesignInfo | |
| PinType_Others enum value | DesignInfo | |
| PinType_Q enum value | DesignInfo | |
| PinType_SR enum value | DesignInfo | |
| predefinedClusters | DesignInfo | private |
| printStat(bool verbose=false) | DesignInfo | |
| resetLUTFFDeterminedOccupation() | DesignInfo | inline |
| resetNetEnhanceRatio() | DesignInfo | inline |
| setDeterminedOccupation(int cellId, int occupation) | DesignInfo | inline |
| type2Cells | DesignInfo | private |
| updateFFControlSets() | DesignInfo | |
| ~DesignInfo() | DesignInfo | inline |